From unknown Sun Jun 15 08:54:53 2025 X-Loop: help-debbugs@gnu.org Subject: bug#22368: vhdl comment-uncomment problem, apparently (or not) related to 14335 and 5997 Resent-From: John Chapple Original-Sender: "Debbugs-submit" Resent-CC: bug-gnu-emacs@gnu.org Resent-Date: Thu, 14 Jan 2016 00:59:01 +0000 Resent-Message-ID: Resent-Sender: help-debbugs@gnu.org X-GNU-PR-Message: report 22368 X-GNU-PR-Package: emacs X-GNU-PR-Keywords: To: 22368@debbugs.gnu.org X-Debbugs-Original-To: "bug-gnu-emacs@gnu.org" Received: via spool by submit@debbugs.gnu.org id=B.145273309817853 (code B ref -1); Thu, 14 Jan 2016 00:59:01 +0000 Received: (at submit) by debbugs.gnu.org; 14 Jan 2016 00:58:18 +0000 Received: from localhost ([127.0.0.1]:48654 helo=debbugs.gnu.org) by debbugs.gnu.org with esmtp (Exim 4.84) (envelope-from ) id 1aJWEz-0004dt-Ng for submit@debbugs.gnu.org; Wed, 13 Jan 2016 19:58:18 -0500 Received: from eggs.gnu.org ([208.118.235.92]:33404) by debbugs.gnu.org with esmtp (Exim 4.84) (envelope-from ) id 1aJVqZ-00044K-A3 for submit@debbugs.gnu.org; Wed, 13 Jan 2016 19:33:03 -0500 Received: from Debian-exim by eggs.gnu.org with spam-scanned (Exim 4.71) (envelope-from ) id 1aJVqS-0000JF-Va for submit@debbugs.gnu.org; Wed, 13 Jan 2016 19:32:58 -0500 X-Spam-Checker-Version: SpamAssassin 3.3.2 (2011-06-06) on eggs.gnu.org X-Spam-Level: *** X-Spam-Status: No, score=3.3 required=5.0 tests=BAYES_50,HTML_MESSAGE, RECEIVED_FROM_WINDOWS_HOST autolearn=disabled version=3.3.2 Received: from lists.gnu.org ([2001:4830:134:3::11]:45602) by eggs.gnu.org with esmtp (Exim 4.71) (envelope-from ) id 1aJVqS-0000J2-S2 for submit@debbugs.gnu.org; Wed, 13 Jan 2016 19:32:56 -0500 Received: from eggs.gnu.org ([2001:4830:134:3::10]:52608) by lists.gnu.org with esmtp (Exim 4.71) (envelope-from ) id 1aJVqR-0001ro-IQ for bug-gnu-emacs@gnu.org; Wed, 13 Jan 2016 19:32:56 -0500 Received: from Debian-exim by eggs.gnu.org with spam-scanned (Exim 4.71) (envelope-from ) id 1aJVqN-0000EL-AY for bug-gnu-emacs@gnu.org; Wed, 13 Jan 2016 19:32:55 -0500 Received: from bay004-omc1s15.hotmail.com ([65.54.190.26]:53846) by eggs.gnu.org with esmtp (Exim 4.71) (envelope-from ) id 1aJVqN-0000EH-15 for bug-gnu-emacs@gnu.org; Wed, 13 Jan 2016 19:32:51 -0500 Received: from BAY173-W7 ([65.54.190.59]) by BAY004-OMC1S15.hotmail.com over TLS secured channel with Microsoft SMTPSVC(7.5.7601.23008); Wed, 13 Jan 2016 16:12:47 -0800 X-TMN: [CenSPQgQ8RIs5xPBjFg3AiPqPY88Duhq] X-Originating-Email: [jrchapple@sympatico.ca] Message-ID: Content-Type: multipart/alternative; boundary="_7eec14b5-b5b0-46f4-838d-6dca6c105552_" From: John Chapple Date: Thu, 14 Jan 2016 00:12:47 +0000 Importance: Normal MIME-Version: 1.0 X-OriginalArrivalTime: 14 Jan 2016 00:12:47.0855 (UTC) FILETIME=[4C8C5FF0:01D14E60] X-detected-operating-system: by eggs.gnu.org: Windows 7 or 8 [fuzzy] X-detected-operating-system: by eggs.gnu.org: GNU/Linux 2.6.x X-Received-From: 2001:4830:134:3::11 X-Spam-Score: -5.0 (-----) X-Mailman-Approved-At: Wed, 13 Jan 2016 19:58:16 -0500 X-BeenThere: debbugs-submit@debbugs.gnu.org X-Mailman-Version: 2.1.18 Precedence: list List-Id: List-Unsubscribe: , List-Archive: List-Post: List-Help: List-Subscribe: , Errors-To: debbugs-submit-bounces@debbugs.gnu.org Sender: "Debbugs-submit" X-Spam-Score: -5.0 (-----) --_7eec14b5-b5b0-46f4-838d-6dca6c105552_ Content-Type: text/plain; charset="iso-8859-1" Content-Transfer-Encoding: quoted-printable Hello Ladies and/or Gentlemen: OS: Ubuntu 14.04 LTS Emacs version: GNU emacs 24.3.1 (x86-64-pc-linux-gnu=2C GTK+ Version 3.10.7= of 2014-03-07 on lamiak=2C modified by Debian Modifications by Debian are unknown to this user. I do not know how to ins= tall software manually. No add-ons were installed. The software was installed from Ubuntu repository=2C unmodified=2C by Ubunt= u's software installer. I have not attempted any modifications. In xterm=2C this emacs invocation produced an error message on the EMACS st= atus line emacs -0 failed Related bugs: 14335=2C 5997=2C statements the numbered bugs have been addre= ssed in Emacs 24.3 Now to the problem: Original file: -- this is a test comment library ieee=3B use ieee.std_logic_1164.all entity and8 is port( in1=2C in2: in std_logic_vector(7 downto 0)=3B y: out std_logic_vector(7 downto 0) )=3B end=3B -- this is another test comment architecture struct_and8 of and8 is begin y <=3D in1 and in2=3B end=3B End of original file. Mark block of code with mouse=2C under VHDL drop down menu=2C select Commen= t=2C select (Un)Comment Out Region=2C this is the result: -- *********beginning of commented code********** ---- this is a test comment --library ieee=3B --use ieee.std_logic_1164.all --entity and8 is --port( -- in1=2C in2: in std_logic_vector(7 downto 0)=3B -- y: out std_logic_vector(7 downto 0) --)=3B --end=3B ---- this is another test comment --architecture struct_and8 of and8 is --begin -- y <=3D in1 and in2=3B --end=3B ---- *******end of commented code*********** The code is commented properly. Mark block of code with mouse=2C under VHDL drop down menu=2C select Commen= t=2C select (Un)Comment Out Region=2C this is the result: -- *******beginning of code that is commented and immediately uncommented**= ********* this is a test comment library ieee=3B use ieee.std_logic_1164.all entity and8 is port( in1=2C in2: in std_logic_vector(7 downto 0)=3B y: out std_logic_vector(7 downto 0) )=3B end=3B this is another test comment architecture struct_and8 of and8 is begin y <=3D in1 and in2=3B end=3B Emacs removed *all* comment markers. I think this is overzealous. The com= ment markers for the comment test lines should have been put back the way t= hey were in the original file=2C that is=2C with one comment marker. I think I am safe in saying all compliant VHDL compilers (any VHDL version)= emit error message(s) on or about the lines containing the word 'test'. If this variant to bugs 14335 and 5997 has been dealt with=2C please accept= my apologies. I didn't see it mentioned in the bug reports. Cheers John = --_7eec14b5-b5b0-46f4-838d-6dca6c105552_ Content-Type: text/html; charset="iso-8859-1" Content-Transfer-Encoding: quoted-printable
Hello Ladies and/or Gentlemen:
OS: Ubuntu 14.04 LTS
Emacs version: GNU emacs 24.3.1 (x86-64-pc-li= nux-gnu=2C GTK+ Version 3.10.7 of 2014-03-07 on lamiak=2C modified by Debia= n

Modifications by Debian are unknown to this user. =3B I do not= know how to install software manually. =3B No add-ons were installed.<= br>
The software was installed from Ubuntu repository=2C unmodified=2C b= y Ubuntu's software installer. =3B I have not attempted any modificatio= ns.

In xterm=2C this emacs invocation produced an error message on t= he EMACS status line

emacs -0 failed

Related bugs: 14335=2C 5= 997=2C statements the numbered bugs have been addressed in Emacs 24.3
Now to the problem:

Original file:

-- this is a test commen= t

library ieee=3B
use =3B =3B  =3B =3B =3B &n= bsp=3Bieee.std_logic_1164.all

entity and8 is
port(
 =3B&nb= sp=3B  =3Bin1=2C in2: =3B =3B  =3Bin =3B =3B  = =3Bstd_logic_vector(7 downto 0)=3B
 =3B =3B  =3By: =3B&n= bsp=3B  =3B =3B =3B  =3B =3B =3B  =3Bout = =3B =3B  =3Bstd_logic_vector(7 downto 0)
)=3B
end=3B

-= - this is another test comment

architecture struct_and8 of and8 isbegin
 =3B =3B  =3By <=3B=3D in1 and in2=3B
end=3B
End of original file.

Mark block of code with mouse=2C under VH= DL drop down menu=2C select Comment=2C select (Un)Comment Out Region=2C thi= s is the result:

-- *********beginning of commented code**********
---- this is a test comment

--library ieee=3B
--use =3B=  =3B  =3B =3B =3B  =3Bieee.std_logic_1164.all

--= entity and8 is
--port(
-- =3B =3B  =3Bin1=2C in2: =3B=  =3B  =3Bin =3B =3B  =3Bstd_logic_vector(7 downto 0)=3B=
-- =3B =3B  =3By: =3B =3B  =3B =3B =3B =  =3B =3B =3B  =3Bout =3B =3B  =3Bstd_logic_vect= or(7 downto 0)
--)=3B
--end=3B

---- this is another test comme= nt

--architecture struct_and8 of and8 is
--begin
-- =3B&nb= sp=3B  =3By <=3B=3D in1 and in2=3B
--end=3B

---- *******end= of commented code***********

The code is commented properly.
Mark block of code with mouse=2C under VHDL drop down menu=2C select Comme= nt=2C select (Un)Comment Out Region=2C this is the result:

-- ******= *beginning of code that is commented and immediately uncommented***********=

 =3Bthis is a test comment

library ieee=3B
use = =3B =3B  =3B =3B =3B  =3Bieee.std_logic_1164.all
entity and8 is
port(
 =3B =3B  =3Bin1=2C in2: =3B&nb= sp=3B  =3Bin =3B =3B  =3Bstd_logic_vector(7 downto 0)=3B =3B =3B  =3By: =3B =3B  =3B =3B =3B  = =3B =3B =3B  =3Bout =3B =3B  =3Bstd_logic_vector(7 = downto 0)
)=3B
end=3B

 =3Bthis is another test comment
=
architecture struct_and8 of and8 is
begin
 =3B =3B  = =3By <=3B=3D in1 and in2=3B
end=3B

Emacs removed *all* comment = markers. =3B I think this is overzealous. =3B The comment markers f= or the comment test lines should have been put back the way they were in th= e original file=2C that is=2C with one comment marker.

I think I am = safe in saying all compliant VHDL compilers (any VHDL version) emit error m= essage(s) on or about the lines containing the word 'test'.

If this = variant to bugs 14335 and 5997 has been dealt with=2C please accept my apol= ogies. =3B I didn't see it mentioned in the bug reports.

Cheers<= br>John
= --_7eec14b5-b5b0-46f4-838d-6dca6c105552_-- From unknown Sun Jun 15 08:54:53 2025 X-Loop: help-debbugs@gnu.org Subject: bug#22368: Another way to produce the same result References: In-Reply-To: Resent-From: John Chapple Original-Sender: "Debbugs-submit" Resent-CC: bug-gnu-emacs@gnu.org Resent-Date: Thu, 14 Jan 2016 17:11:01 +0000 Resent-Message-ID: Resent-Sender: help-debbugs@gnu.org X-GNU-PR-Message: followup 22368 X-GNU-PR-Package: emacs X-GNU-PR-Keywords: To: "22368@debbugs.gnu.org" <22368@debbugs.gnu.org> Received: via spool by 22368-submit@debbugs.gnu.org id=B22368.14527914522605 (code B ref 22368); Thu, 14 Jan 2016 17:11:01 +0000 Received: (at 22368) by debbugs.gnu.org; 14 Jan 2016 17:10:52 +0000 Received: from localhost ([127.0.0.1]:49354 helo=debbugs.gnu.org) by debbugs.gnu.org with esmtp (Exim 4.84) (envelope-from ) id 1aJlQB-0000fx-Oj for submit@debbugs.gnu.org; Thu, 14 Jan 2016 12:10:51 -0500 Received: from bay004-omc1s14.hotmail.com ([65.54.190.25]:51968) by debbugs.gnu.org with esmtp (Exim 4.84) (envelope-from ) id 1aJlQA-0000fk-8S for 22368@debbugs.gnu.org; Thu, 14 Jan 2016 12:10:50 -0500 Received: from BAY173-W40 ([65.54.190.61]) by BAY004-OMC1S14.hotmail.com over TLS secured channel with Microsoft SMTPSVC(7.5.7601.23008); Thu, 14 Jan 2016 09:10:44 -0800 X-TMN: [8C3UFmhUb16UKob13n9b+Il0/y/O93iA] X-Originating-Email: [jrchapple@sympatico.ca] Message-ID: Content-Type: multipart/mixed; boundary="_c5f6febe-9068-4a68-9a02-7285786389cc_" From: John Chapple Date: Thu, 14 Jan 2016 17:10:44 +0000 Importance: Normal MIME-Version: 1.0 X-OriginalArrivalTime: 14 Jan 2016 17:10:44.0542 (UTC) FILETIME=[811735E0:01D14EEE] X-Spam-Score: -0.7 (/) X-BeenThere: debbugs-submit@debbugs.gnu.org X-Mailman-Version: 2.1.18 Precedence: list List-Id: List-Unsubscribe: , List-Archive: List-Post: List-Help: List-Subscribe: , Errors-To: debbugs-submit-bounces@debbugs.gnu.org Sender: "Debbugs-submit" X-Spam-Score: -0.7 (/) --_c5f6febe-9068-4a68-9a02-7285786389cc_ Content-Type: multipart/alternative; boundary="_57486d8b-fb26-463a-9b33-303880ea55c4_" --_57486d8b-fb26-463a-9b33-303880ea55c4_ Content-Type: text/plain; charset="iso-8859-1" Content-Transfer-Encoding: quoted-printable Hello again=2C Ladies and/or Gentlemen=2C I have found another method to reproduce this problem that perhaps is clear= er: Open the attached file. Using the mouse=2C select Edit | Select All . Using the mouse=2C select VHDL | Comment | (Un)Comment Out Region. Line 14 will now be rejected by any VHDL compiler. The file is saved to di= sk as it appears on the screen. I checked with another editor. Cheers John = --_57486d8b-fb26-463a-9b33-303880ea55c4_ Content-Type: text/html; charset="iso-8859-1" Content-Transfer-Encoding: quoted-printable
Hello again=2C Ladies and/or Gen= tlemen=2C

I have found another method to reproduce this problem that= perhaps is clearer:

Open the attached file.

Using the mouse= =2C select Edit | Select All .

Using the mouse=2C select VHDL | Comm= ent | (Un)Comment Out Region.

Line 14 will now be rejected by any VH= DL compiler. =3B The file is saved to disk as it appears on the screen.=  =3B I checked with another editor.

Cheers
John
=
= --_57486d8b-fb26-463a-9b33-303880ea55c4_-- --_c5f6febe-9068-4a68-9a02-7285786389cc_ Content-Type: application/octet-stream Content-Transfer-Encoding: base64 Content-Disposition: attachment; filename="bug.vhdl" LS1saWJyYXJ5CWllZWU7DQotLXVzZQkJaWVlZS5zdGRfbG9naWNfMTE2NC5hbGw7DQoNCi0tbGli cmFyeSB3b3JrOw0KLS11c2UJCXdvcmsuZGVmaW5pdGlvbnMuYWxsOw0KDQotLWVudGl0eSBjY2Zf b3BlcmF0aW9uIGlzDQotLXBvcnQoDQotLQlmbGFnc19pbjoJaW4Jc3RkX2xvZ2ljX3ZlY3Rvcig3 IGRvd250byAwKTsNCi0tCWZsYWdzX291dDoJb3V0CXN0ZF9sb2dpY192ZWN0b3IoNyBkb3dudG8g MCkNCi0tKTsNCi0tZW5kOw0KDQotLS0tIFRlc3RlZCB3aXRoIE1vZGVsc2ltIDIwMTUvMTEvMjUs IHdvcmtzLg0KDQotLWFyY2hpdGVjdHVyZSBzdHJ1Y3RfY2NmX29wZXJhdGlvbiBvZiBjY2Zfb3Bl cmF0aW9uIGlzDQotLWJlZ2luDQotLQktLSBBIHBvaW50IG9mIGRpc2FncmVlbWVudCBoYXMgYmVl biBmb3VuZCBiZXR3ZWVuIHRoZSBaODAgdXNlciBtYW51YWwNCi0tCS0tIGFuZCBMYW5jZSBMZXZp bnRoYWwncyBib29rIGVudGl0bGVkICJaODAgQXNzZW1ibHkgTGFuZ3VhZ2UgUHJvZ3JhbW1pbmci Lg0KLS0JLS0gVGhlIFo4MCB1c2VyIG1hbnVhbCBzYXlzIHRoZSBoYWxmLWNhcnJ5IGJpdCBnZXRz IHRoZSBwcmV2aW91cyBjYXJyeTsNCi0tCS0tIExldmludGhhbCBzYXlzIHRoZSBoYWxmLWNhcnJ5 IGJpdCBpcyB1bmNoYW5nZWQuICBGb3Igbm93LCBnbyB3aXRoDQotLQktLSBMZXZpbnRoYWwncyB2 ZXJzaW9uIGFzIHRoZSBaODAgdXNlcnMgbWFudWFsIGlzIGluY29uc2lzdGVudCB3aXRoDQotLQkt LSBpdHNlbGYgb24gb3RoZXIgaW5zdHJ1Y3Rpb25zLiAgQXQgdGhpcyB0aW1lLCBubyBzdWNoIGlu Y29uc2lzdGVuY2llcw0KLS0JLS0gaGF2ZSBiZWVuIGZvdW5kIHdpdGggTGV2aW50aGFsJ3Mgd29y ay4NCg0KLS0JZmxhZ3Nfb3V0IDw9ICgJY2FycnlfYml0ID0+IG5vdCBmbGFnc19pbihjYXJyeV9i aXQpLA0KLS0tLQkJCQkJaGFsZl9jYXJyeV9iaXQgPD0gZmxhZ3NfaW4oY2FycnlfYml0KSwNCi0t CQkJCQlvdGhlcnMgPT4gJzAnKTsNCi0tZW5kOw0KDQotLWxpYnJhcnkJaWVlZTsNCi0tdXNlCQlp ZWVlLnN0ZF9sb2dpY18xMTY0LmFsbDsNCg0KLS1saWJyYXJ5IHdvcms7DQotLXVzZQkJd29yay5k ZWZpbml0aW9ucy5hbGw7DQoNCg== --_c5f6febe-9068-4a68-9a02-7285786389cc_-- From unknown Sun Jun 15 08:54:53 2025 X-Loop: help-debbugs@gnu.org Subject: bug#22368: Another way to produce the same result Resent-From: Stefan Kangas Original-Sender: "Debbugs-submit" Resent-CC: bug-gnu-emacs@gnu.org Resent-Date: Sat, 15 Aug 2020 05:35:01 +0000 Resent-Message-ID: Resent-Sender: help-debbugs@gnu.org X-GNU-PR-Message: followup 22368 X-GNU-PR-Package: emacs X-GNU-PR-Keywords: To: John Chapple Cc: Reto Zimmermann , "22368@debbugs.gnu.org" <22368@debbugs.gnu.org> Received: via spool by 22368-submit@debbugs.gnu.org id=B22368.159746968913997 (code B ref 22368); Sat, 15 Aug 2020 05:35:01 +0000 Received: (at 22368) by debbugs.gnu.org; 15 Aug 2020 05:34:49 +0000 Received: from localhost ([127.0.0.1]:54202 helo=debbugs.gnu.org) by debbugs.gnu.org with esmtp (Exim 4.84_2) (envelope-from ) id 1k6oq9-0003dh-F4 for submit@debbugs.gnu.org; Sat, 15 Aug 2020 01:34:49 -0400 Received: from mail-yb1-f175.google.com ([209.85.219.175]:43274) by debbugs.gnu.org with esmtp (Exim 4.84_2) (envelope-from ) id 1k6oq6-0003dR-Vp for 22368@debbugs.gnu.org; Sat, 15 Aug 2020 01:34:48 -0400 Received: by mail-yb1-f175.google.com with SMTP id m200so6356671ybf.10 for <22368@debbugs.gnu.org>; Fri, 14 Aug 2020 22:34:46 -0700 (PDT) X-Google-DKIM-Signature: v=1; a=rsa-sha256; c=relaxed/relaxed; d=1e100.net; s=20161025; h=x-gm-message-state:from:in-reply-to:references:user-agent :mime-version:date:message-id:subject:to:cc; bh=iWWbjEIAdqXa6KMskU2eS7Ogb6TOQme3T3I50JlQ6gw=; b=VbgdklRNa+oaMlMHJyMN6ghZwnrKm+enJYhpS77w8VtbFL2PXka5RudA1h7HP9JwUU 23VagIML0sWLOKXgBIKmS6L7t8hmo+tLhslzMqnt0NwtbWSeyFLEvocHTUET6Il4UE2G i+QZl1GFCXLhlKsNxfb+HLpNUl0leMVX9F6v7DA34WADf8YAWXsprqY3zhqEODonJnZy SIzraEpmK8MOzUlf7V/DiN+fstlPdcmE5c6YbkiqSOeIDKlrxBoPVqxROcFLcDtw4Mim 0MLTxooF8M9hbXfyoR/OdvMqeLFxUM/S7T1cQBmsgDVlx3GwbZT9B0WT7b75szMvjfIs Dqpg== X-Gm-Message-State: AOAM532giVwoXNlz0w7Js2ocZ0vRRWN3NzPuTDKwdvHjaHzimbsygmxI EaRkvP21F1oKziWVp62lP6Q3NaX1fkNqIR4dFGw= X-Google-Smtp-Source: ABdhPJxA32tP5csmMwfzVd2OgIAQdUpVXAlNwaA5ga4vnUv+pfV6g2LL/DfhyPUWC5U25ctBkkD1qTm7EGbCF/axa0M= X-Received: by 2002:a25:7007:: with SMTP id l7mr7999146ybc.85.1597469681535; Fri, 14 Aug 2020 22:34:41 -0700 (PDT) Received: from 753933720722 named unknown by gmailapi.google.com with HTTPREST; Fri, 14 Aug 2020 22:34:40 -0700 From: Stefan Kangas In-Reply-To: (John Chapple's message of "Thu, 14 Jan 2016 17:10:44 +0000") References: User-Agent: Gnus/5.13 (Gnus v5.13) Emacs/28.0.50 (gnu/linux) MIME-Version: 1.0 Date: Fri, 14 Aug 2020 22:34:40 -0700 Message-ID: Content-Type: text/plain; charset="UTF-8" X-Spam-Score: 0.0 (/) X-BeenThere: debbugs-submit@debbugs.gnu.org X-Mailman-Version: 2.1.18 Precedence: list List-Id: List-Unsubscribe: , List-Archive: List-Post: List-Help: List-Subscribe: , Errors-To: debbugs-submit-bounces@debbugs.gnu.org Sender: "Debbugs-submit" X-Spam-Score: -1.0 (-) Hi Reto, Could you please help take a look at the below bug report in vhdl-mode? It was reported over 4 years ago but unfortunately never got a reply at the time. Thanks in advance. Best regards, Stefan Kangas John Chapple writes: > Hello again, Ladies and/or Gentlemen, > > I have found another method to reproduce this problem that perhaps is clearer: > > Open the attached file. > > Using the mouse, select Edit | Select All . > > Using the mouse, select VHDL | Comment | (Un)Comment Out Region. > > Line 14 will now be rejected by any VHDL compiler. The file is saved to disk as it appears on the screen. I checked with another editor. > > Cheers > John From unknown Sun Jun 15 08:54:53 2025 X-Loop: help-debbugs@gnu.org Subject: bug#22368: Another way to produce the same result Resent-From: Stefan Kangas Original-Sender: "Debbugs-submit" Resent-CC: bug-gnu-emacs@gnu.org Resent-Date: Tue, 18 Aug 2020 11:40:02 +0000 Resent-Message-ID: Resent-Sender: help-debbugs@gnu.org X-GNU-PR-Message: followup 22368 X-GNU-PR-Package: emacs X-GNU-PR-Keywords: To: Reto Zimmermann , John Chapple Cc: "22368@debbugs.gnu.org" <22368@debbugs.gnu.org> Received: via spool by 22368-submit@debbugs.gnu.org id=B22368.159775078613469 (code B ref 22368); Tue, 18 Aug 2020 11:40:02 +0000 Received: (at 22368) by debbugs.gnu.org; 18 Aug 2020 11:39:46 +0000 Received: from localhost ([127.0.0.1]:34332 helo=debbugs.gnu.org) by debbugs.gnu.org with esmtp (Exim 4.84_2) (envelope-from ) id 1k7zxx-0003VA-PD for submit@debbugs.gnu.org; Tue, 18 Aug 2020 07:39:45 -0400 Received: from mail-yb1-f176.google.com ([209.85.219.176]:44656) by debbugs.gnu.org with esmtp (Exim 4.84_2) (envelope-from ) id 1k7zxw-0003Uy-IO for 22368@debbugs.gnu.org; Tue, 18 Aug 2020 07:39:44 -0400 Received: by mail-yb1-f176.google.com with SMTP id i10so11173592ybt.11 for <22368@debbugs.gnu.org>; Tue, 18 Aug 2020 04:39:44 -0700 (PDT) X-Google-DKIM-Signature: v=1; a=rsa-sha256; c=relaxed/relaxed; d=1e100.net; s=20161025; h=x-gm-message-state:from:in-reply-to:references:mime-version:date :message-id:subject:to:cc:content-transfer-encoding; bh=8DEq6FfYh09kgo1lYuxdV82bpI7BaDLWzzecJKZBzis=; b=LJVjrQ3wi/fXx8qpShQjUvRYprcCg5+R07U0ro1As7THqr21lMgBmhBApoTvlb4Kgc TzjArelRSE+9ougWMopuZ+eAQhSuEt6T7nOwUUFr/XPy9sng2nK9W0f78NGUR1oI2BMs 55QUWD4fDM55b7cW9JJPSrrNqUaYwD1mfG8hcX2EmXSZ3nmCeK5+3D9dxOgiNqU7p4Zd jNnsCaZ5t5G+CzK6UVH9Nsc/QPVUBKdgFFMCjDfDsqn0q3ihdFhdp149xhqjYop6liaN 6eHsKDvEUeYE1+/UQ9SF20sx5Rq9CVTkwj92bOu35CC1x6a5Dram7wnok1k1bNmYrpnk 7Dhg== X-Gm-Message-State: AOAM532CDk6EIZB6A8j+H1qvyVQEV+IwYnIDV3tDWJ3vlsIrZesvYPSs gTqIJpvWQ3prd8GByj2FqROQjjfiDceffKoiiWg= X-Google-Smtp-Source: ABdhPJy3EyfH1mrZ1wx5AFUHJtKatow5RUCyBBdmxROXdo2h9wIyHiqZgEuNlShutdT3K8z9eFhRo62SZmb/DTmxCF8= X-Received: by 2002:a25:b290:: with SMTP id k16mr25716432ybj.389.1597750779108; Tue, 18 Aug 2020 04:39:39 -0700 (PDT) Received: from 753933720722 named unknown by gmailapi.google.com with HTTPREST; Tue, 18 Aug 2020 11:39:38 +0000 From: Stefan Kangas In-Reply-To: <4268831f-b742-37e9-bdf0-b88804af1763@gnu.org> References: <4268831f-b742-37e9-bdf0-b88804af1763@gnu.org> MIME-Version: 1.0 Date: Tue, 18 Aug 2020 11:39:38 +0000 Message-ID: Content-Type: text/plain; charset="UTF-8" Content-Transfer-Encoding: quoted-printable X-Spam-Score: 0.5 (/) X-BeenThere: debbugs-submit@debbugs.gnu.org X-Mailman-Version: 2.1.18 Precedence: list List-Id: List-Unsubscribe: , List-Archive: List-Post: List-Help: List-Subscribe: , Errors-To: debbugs-submit-bounces@debbugs.gnu.org Sender: "Debbugs-submit" X-Spam-Score: -0.5 (/) Hi, Reto Zimmermann writes: > The file is missing.=C2=A0 Could you please re-attach? Sorry, it was missing in my reply. You can find the file here: https://debbugs.gnu.org/cgi/bugreport.cgi?bug=3D22368#8 Best regards, Stefan Kangas From unknown Sun Jun 15 08:54:53 2025 X-Loop: help-debbugs@gnu.org Subject: bug#22368: Another way to produce the same result Resent-From: Reto Zimmermann Original-Sender: "Debbugs-submit" Resent-CC: bug-gnu-emacs@gnu.org Resent-Date: Tue, 18 Aug 2020 12:20:02 +0000 Resent-Message-ID: Resent-Sender: help-debbugs@gnu.org X-GNU-PR-Message: followup 22368 X-GNU-PR-Package: emacs X-GNU-PR-Keywords: To: Stefan Kangas , John Chapple Cc: Reto Zimmermann , "22368@debbugs.gnu.org" <22368@debbugs.gnu.org> Received: via spool by 22368-submit@debbugs.gnu.org id=B22368.159775314925944 (code B ref 22368); Tue, 18 Aug 2020 12:20:02 +0000 Received: (at 22368) by debbugs.gnu.org; 18 Aug 2020 12:19:09 +0000 Received: from localhost ([127.0.0.1]:34450 helo=debbugs.gnu.org) by debbugs.gnu.org with esmtp (Exim 4.84_2) (envelope-from ) id 1k80a3-0006kN-Oo for submit@debbugs.gnu.org; Tue, 18 Aug 2020 08:19:08 -0400 Received: from asave01.hostfactory.ch ([185.117.170.1]:46362) by debbugs.gnu.org with esmtp (Exim 4.84_2) (envelope-from ) id 1k7z5C-00063p-TF for 22368@debbugs.gnu.org; Tue, 18 Aug 2020 06:43:11 -0400 Received: from server09.hostfactory.ch ([185.117.170.110]) by asave01.hostfactory.ch with esmtps (TLSv1.2:ECDHE-RSA-AES128-GCM-SHA256:128) (Exim 4.92) (envelope-from ) id 1k7z55-0006Is-Kh; Tue, 18 Aug 2020 12:43:04 +0200 Received: from [192.168.0.25] (77-56-244-172.dclient.hispeed.ch [77.56.244.172]) (Authenticated sender: reto@retoweb.net) by server09.hostfactory.ch (Postfix) with ESMTPSA id 76CBC535475; Tue, 18 Aug 2020 12:43:01 +0200 (CEST) References: From: Reto Zimmermann Message-ID: <4268831f-b742-37e9-bdf0-b88804af1763@gnu.org> Date: Tue, 18 Aug 2020 12:43:00 +0200 User-Agent: Mozilla/5.0 (Windows NT 6.1; WOW64; rv:68.0) Gecko/20100101 Thunderbird/68.11.0 MIME-Version: 1.0 In-Reply-To: Content-Type: text/plain; charset=utf-8; format=flowed Content-Transfer-Encoding: 8bit Content-Language: en-US X-PPP-Message-ID: <159774738175.28641.12948679767725048621@server09.hostfactory.ch> X-PPP-Vhost: retoweb.net X-Originating-IP: 185.117.170.110 X-SpamExperts-Domain: outboundprotection.hostfactory.ch X-SpamExperts-Username: 185.117.170.110 Authentication-Results: hostfactory.ch; auth=pass smtp.auth=185.117.170.110@outboundprotection.hostfactory.ch X-SpamExperts-Outgoing-Class: ham X-SpamExperts-Outgoing-Evidence: Combined (0.15) X-Recommended-Action: accept X-Filter-ID: Mvzo4OR0dZXEDF/gcnlw0Uc1Z+hCSaILZIw3vLzlsGSpSDasLI4SayDByyq9LIhVExIK3VUFCcI9 QkuR5JsfXETNWdUk1Ol2OGx3IfrIJKyP9eGNFz9TW9u+Jt8z2T3KljBwy1759Sux6OMzyK3U6I8b ZJRfPNfNaHt/MKS9XJmXWf42CptM8sPA68WKr8/iZU3nCVEPb8SBuvMtgTMeXuhucsWt+XhIX01c AriWVnbx+rjOJXrjfLeJA+LnxGx+0WqdXMTUvYow8ebY8DEj+iTg127TqHZDxA/kZB41Rh/BLYNw /yEm7EWxX3Z50eiFgSBav74bCtRfu7fF1NgD8gZIxtXW0Mz4B7SMF7WU9HAqE9cWKJtRTiY8eqad M9gekFJ4crOST/bKc1n9XWvqYAM14fMafVpHgLxk5b3EML2WU85AIxuRJbuQfKtSNNYU6cTrAfIB tLJVe62uoyOAUgYqqIERb2KL6Fwk4XqqTez16x8GxHIJ1xNPh0SgliAANubRWA2S3oNe33QZ/iuK 9nSsrvE5ol3tDswyoeVJL6fJ0IdteI/zTbpcDI9MsmV317NirEYyqwqMBGrw8ELiqC9MbeStulr4 qkICgRJxXZfB80Q3J30zmfN4XYB4lR/j/s8o8aPw1d4YK6Uv9AkSo3oSKlHMxVnkurBPHtW+f1Lk 4FnztaYXJcGb+ggC0uY6zbm7dQqZ7DYI5GVewKKkUDq8IO+VRZrAp8K5ef0L3RUNusE2HHbw8ugh 9mwK5f3GmkqYttGnmHCh3YkGrrS+ZrQeWjUHTiINc60jYeyYL1VN/xaGmL0mhVV+vGAnFwCDlkCw ablXWKJdZmLawOg0zu50kMYOjjpigOcFkWsEqPuHamEf1woTXJJXdgLCOAKOiWrFfcvTzpdI3AEG 059XQcGeKG/rfagrLlaM1oeMcNt3OnKG9eRDP2/Ogb+c8D2ot3LxTXTTLkwoumV9ZbwrMUiIMEvs evuk4yVJChNC9bzweGoFjgVERfb2WRDymz+2W2F0qhfBm+MlDML/mbSsUZuGexmiSB7PDdFilP5D NWDhPmxSs0nOg7p9MKUmSFLsTs2BZNjhN1NaK5LAGwujj//r/SUIw94y3/8OS0kkEOf/KjgYC4tZ RzJz21tqbILCTUmLnODvDsPqEOQTrc+0Cpc0PM/8p/9L/dUjSWv2PmM= X-Report-Abuse-To: spam@asave01.hostfactory.ch X-Spam-Score: -1.9 (-) X-Mailman-Approved-At: Tue, 18 Aug 2020 08:19:07 -0400 X-BeenThere: debbugs-submit@debbugs.gnu.org X-Mailman-Version: 2.1.18 Precedence: list List-Id: List-Unsubscribe: , List-Archive: List-Post: List-Help: List-Subscribe: , Errors-To: debbugs-submit-bounces@debbugs.gnu.org Sender: "Debbugs-submit" X-Spam-Score: -5.2 (-----) Hi Stefan, John, The file is missing.  Could you please re-attach? Reto On 2020-08-15 07:34, Stefan Kangas wrote: > Hi Reto, > > Could you please help take a look at the below bug report in vhdl-mode? > It was reported over 4 years ago but unfortunately never got a reply at > the time. > > Thanks in advance. > > Best regards, > Stefan Kangas > > John Chapple writes: > >> Hello again, Ladies and/or Gentlemen, >> >> I have found another method to reproduce this problem that perhaps is clearer: >> >> Open the attached file. >> >> Using the mouse, select Edit | Select All . >> >> Using the mouse, select VHDL | Comment | (Un)Comment Out Region. >> >> Line 14 will now be rejected by any VHDL compiler. The file is saved to disk as it appears on the screen. I checked with another editor. >> >> Cheers >> John From unknown Sun Jun 15 08:54:53 2025 X-Loop: help-debbugs@gnu.org Subject: bug#22368: Another way to produce the same result Resent-From: Reto Zimmermann Original-Sender: "Debbugs-submit" Resent-CC: bug-gnu-emacs@gnu.org Resent-Date: Wed, 19 Aug 2020 11:53:02 +0000 Resent-Message-ID: Resent-Sender: help-debbugs@gnu.org X-GNU-PR-Message: followup 22368 X-GNU-PR-Package: emacs X-GNU-PR-Keywords: To: Stefan Kangas , John Chapple Cc: "22368@debbugs.gnu.org" <22368@debbugs.gnu.org> Received: via spool by 22368-submit@debbugs.gnu.org id=B22368.15978379532126 (code B ref 22368); Wed, 19 Aug 2020 11:53:02 +0000 Received: (at 22368) by debbugs.gnu.org; 19 Aug 2020 11:52:33 +0000 Received: from localhost ([127.0.0.1]:38340 helo=debbugs.gnu.org) by debbugs.gnu.org with esmtp (Exim 4.84_2) (envelope-from ) id 1k8Mdt-0000YE-FI for submit@debbugs.gnu.org; Wed, 19 Aug 2020 07:52:33 -0400 Received: from asave03.hostfactory.ch ([185.16.174.135]:41470) by debbugs.gnu.org with esmtp (Exim 4.84_2) (envelope-from ) id 1k8Mdr-0000Y5-M2 for 22368@debbugs.gnu.org; Wed, 19 Aug 2020 07:52:32 -0400 Received: from server09.hostfactory.ch ([185.117.170.110]) by asave03.hostfactory.ch with esmtps (TLSv1.2:ECDHE-RSA-AES128-GCM-SHA256:128) (Exim 4.92) (envelope-from ) id 1k8Mdj-0000NQ-9v; Wed, 19 Aug 2020 13:52:30 +0200 Received: from [192.168.0.25] (77-56-244-172.dclient.hispeed.ch [77.56.244.172]) (Authenticated sender: reto@retoweb.net) by server09.hostfactory.ch (Postfix) with ESMTPSA id C9E75B2F29D; Wed, 19 Aug 2020 13:52:21 +0200 (CEST) References: <4268831f-b742-37e9-bdf0-b88804af1763@gnu.org> From: Reto Zimmermann Message-ID: Date: Wed, 19 Aug 2020 13:52:22 +0200 User-Agent: Mozilla/5.0 (Windows NT 6.1; WOW64; rv:68.0) Gecko/20100101 Thunderbird/68.11.0 MIME-Version: 1.0 In-Reply-To: Content-Type: multipart/alternative; boundary="------------B333D3B90C5C94F7480440EC" Content-Language: en-US X-PPP-Message-ID: <159783794211.10774.12093399058735470581@server09.hostfactory.ch> X-PPP-Vhost: retoweb.net X-Originating-IP: 185.117.170.110 X-SpamExperts-Domain: outboundprotection.hostfactory.ch X-SpamExperts-Username: 185.117.170.110 Authentication-Results: hostfactory.ch; auth=pass smtp.auth=185.117.170.110@outboundprotection.hostfactory.ch X-SpamExperts-Outgoing-Class: unsure X-SpamExperts-Outgoing-Evidence: Combined (0.33) X-Recommended-Action: accept X-Filter-ID: Mvzo4OR0dZXEDF/gcnlw0fJi3Ojdyt5h9PLOIGvr3lipSDasLI4SayDByyq9LIhV9gnY9Wi1yTL1 3+so9II7r0TNWdUk1Ol2OGx3IfrIJKyP9eGNFz9TW9u+Jt8z2T3KljBwy1759Sux6OMzyK3U6Pcw EwcvgquPbJEcpczk8HtYHDbqfdWbQA+Z5igcY9Hk6G5yxa35eEhfTVwCuJZWduicbpA8IhoSbmSW 7CRJqy7j+kPblPux0WkHg9a+o4t9JODXbtOodkPED+RkHjVGHyrDTJV6EjoWQpUTVtUylbmBIFq/ vhsK1F+7t8XU2APy/f9yFbPaRdV9pEVZXxSfin67aQ26tKx9o4dTV59PRn4vyk4PXNv76C+iyLDM tFqLrHXbNMWZGbBFPGCeT23mfvuflOJ6VhTPRIOQUcUaN1uD5afFc/F49EU9bp0LuEIrHkgzTtAE xFvabtF6fBRPXozPfXekgt4IQq1JIFshHu1Zy6UiyiI7Pl11dcnA/8hq3ZvBSOdcZaQYlKee2Vxu t4iZ5ZA89cafAqxM+VvJzb/lgTl6fJxyntEfhZCKje4ZPGKsuK17JFhXXBlqNgb3ICAIpcfye3ks Q+/LLnYs7yN3EqW8CgPw7EoLRLxPxZsAgFLjQLpHyp6ln/j1jDpTIUmCR0R7KNsho6aCUmp4CCj2 cSzaAd1vbvZ6ppKT6kqk+WtJgWxzyufPgSzhm8k/VIwBTv/Rtll2Q/KhFOIfaqEHmFDqewO9xyOq CYO8P1aHl92ElPfAyVCRO8dzd4QXssdalQLF2nWZdLDsXE3j67pCJ/k2Jo+Zn4s9cWJWa0Gq8mZW umhk8IXEGGBoIADLDuEXNhnTpVHBZvePHomblzkFVY+f5mZoWkq/sh9bRkeCz/BDOybbD8hvRiPT GyRa1h/ieAuYrQs5ttmJcF7JASnLYgiEbYKHJ/ADC/yb4Bnnbt5MtI93eBSwq1rPdKdr2FKxHB/e tGG+jKYi/tvOWyEIHnl74yOncDdKEk7+ZmZn6kZs+3+0cTSnSeRYogaMGV9izzANyd+jdTxyG3s/ fLA2te9xrlbqD39LeI19m9w9zjBg/KZxOxTlrJhpt1arb9ka+Ahzf1F+dWmBjtjpfpHfdBsmhSSF fFr2kiOEAFLjMcnfZ2tiSlALbbdmphIeig== X-Report-Abuse-To: spam@asave01.hostfactory.ch X-Spam-Score: -2.7 (--) X-BeenThere: debbugs-submit@debbugs.gnu.org X-Mailman-Version: 2.1.18 Precedence: list List-Id: List-Unsubscribe: , List-Archive: List-Post: List-Help: List-Subscribe: , Errors-To: debbugs-submit-bounces@debbugs.gnu.org Sender: "Debbugs-submit" X-Spam-Score: -3.7 (---) This is a multi-part message in MIME format. --------------B333D3B90C5C94F7480440EC Content-Type: text/plain; charset=utf-8; format=flowed Content-Transfer-Encoding: 8bit Thanks, vhdl-mode is using function 'comment-region' from the ‘newcomment.el’ package. With the default value of 1 for option 'comment-padding' the comment string "-- " is inserted, resulting in -- -- this is a test comment -- library ieee; -- use        ieee.std_logic_1164.all for which uncommenting works fine.  You might have changed 'comment-padding' to 0, which is when you get ---- this is a test comment --library ieee; --use        ieee.std_logic_1164.all For this, 'comment-region' doesn't work correctly for languages with comment starts that contain 2 of the same characters, like "--" in VHDL or "//" in C++. C++-mode doesn't honor the 'comment-padding' option though and always inserts "// ", so the problem doesn't occur. Remedies: 1. Use 1 for 'comment-padding' 2. File a bug report against 'newcomment.el' 3. Make vhdl-mode not honor option 'comment-padding' Regards, Reto On 2020-08-18 13:39, Stefan Kangas wrote: > Hi, > > Reto Zimmermann writes: > >> The file is missing.  Could you please re-attach? > Sorry, it was missing in my reply. > > You can find the file here: > https://debbugs.gnu.org/cgi/bugreport.cgi?bug=22368#8 > > Best regards, > Stefan Kangas --------------B333D3B90C5C94F7480440EC Content-Type: text/html; charset=utf-8 Content-Transfer-Encoding: 8bit Thanks,

vhdl-mode is using function 'comment-region' from the ‘newcomment.el’ package. With the default value of 1 for option 'comment-padding' the comment string "-- " is inserted, resulting in

-- -- this is a test comment

-- library ieee;
-- use        ieee.std_logic_1164.all

for which uncommenting works fine.  You might have changed 'comment-padding' to 0, which is when you get

---- this is a test comment

--library ieee;
--use        ieee.std_logic_1164.all

For this, 'comment-region' doesn't work correctly for languages with comment starts that contain 2 of the same characters, like "--" in VHDL or "//" in C++. C++-mode doesn't honor the 'comment-padding' option though and always inserts "// ", so the problem doesn't occur.

Remedies:
  1. Use 1 for 'comment-padding'
  2. File a bug report against 'newcomment.el'
  3. Make vhdl-mode not honor option 'comment-padding'
Regards,
Reto


On 2020-08-18 13:39, Stefan Kangas wrote:
Hi,

Reto Zimmermann <reto@gnu.org> writes:

The file is missing.  Could you please re-attach?
Sorry, it was missing in my reply.

You can find the file here:
https://debbugs.gnu.org/cgi/bugreport.cgi?bug=22368#8

Best regards,
Stefan Kangas

--------------B333D3B90C5C94F7480440EC-- From unknown Sun Jun 15 08:54:53 2025 X-Loop: help-debbugs@gnu.org Subject: bug#22368: vhdl comment-uncomment problem, apparently (or not) related to 14335 and 5997 Resent-From: Lars Ingebrigtsen Original-Sender: "Debbugs-submit" Resent-CC: bug-gnu-emacs@gnu.org Resent-Date: Thu, 02 Dec 2021 08:49:02 +0000 Resent-Message-ID: Resent-Sender: help-debbugs@gnu.org X-GNU-PR-Message: followup 22368 X-GNU-PR-Package: emacs X-GNU-PR-Keywords: To: Reto Zimmermann Cc: John Chapple , Stefan Kangas , "22368@debbugs.gnu.org" <22368@debbugs.gnu.org> Received: via spool by 22368-submit@debbugs.gnu.org id=B22368.163843493214865 (code B ref 22368); Thu, 02 Dec 2021 08:49:02 +0000 Received: (at 22368) by debbugs.gnu.org; 2 Dec 2021 08:48:52 +0000 Received: from localhost ([127.0.0.1]:46502 helo=debbugs.gnu.org) by debbugs.gnu.org with esmtp (Exim 4.84_2) (envelope-from ) id 1mshls-0003rh-8D for submit@debbugs.gnu.org; Thu, 02 Dec 2021 03:48:52 -0500 Received: from quimby.gnus.org ([95.216.78.240]:35896) by debbugs.gnu.org with esmtp (Exim 4.84_2) (envelope-from ) id 1mshlq-0003rO-Df for 22368@debbugs.gnu.org; Thu, 02 Dec 2021 03:48:51 -0500 DKIM-Signature: v=1; a=rsa-sha256; q=dns/txt; c=relaxed/relaxed; d=gnus.org; s=20200322; h=Content-Type:MIME-Version:Message-ID:In-Reply-To:Date: References:Subject:Cc:To:From:Sender:Reply-To:Content-Transfer-Encoding: Content-ID:Content-Description:Resent-Date:Resent-From:Resent-Sender: Resent-To:Resent-Cc:Resent-Message-ID:List-Id:List-Help:List-Unsubscribe: List-Subscribe:List-Post:List-Owner:List-Archive; bh=m3XjATJPyZjuWNILkerm+zSRavnWPyX3a2k7jPGs1p0=; b=C57F/tbpLsn+qVoLo9dHWev/Dg Is7E8W3o19D0Vsul3gTWBAkE4puxa6LqcsumUaUxPhlfQDlxDCNkFMEC0YwXofSJWTA+OL+3dipIU rYSX13TZxqlA1NyKzR+nAqOPWYq+uEsgojYPMnxxmgu/IXNS2agncGQ+Y5uChJuZJ/9E=; Received: from [84.212.220.105] (helo=xo) by quimby.gnus.org with esmtpsa (TLS1.3:ECDHE_RSA_AES_256_GCM_SHA384:256) (Exim 4.92) (envelope-from ) id 1mshlg-0007Wo-30; Thu, 02 Dec 2021 09:48:43 +0100 From: Lars Ingebrigtsen References: <4268831f-b742-37e9-bdf0-b88804af1763@gnu.org> X-Now-Playing: Mega Bog's _Dolphine_: "Diary Of A Rose" Date: Thu, 02 Dec 2021 09:48:36 +0100 In-Reply-To: (Reto Zimmermann's message of "Wed, 19 Aug 2020 13:52:22 +0200") Message-ID: <877dcnmly3.fsf_-_@gnus.org> User-Agent: Gnus/5.13 (Gnus v5.13) Emacs/29.0.50 (gnu/linux) MIME-Version: 1.0 Content-Type: text/plain X-Spam-Report: Spam detection software, running on the system "quimby.gnus.org", has NOT identified this incoming email as spam. The original message has been attached to this so you can view it or label similar future email. If you have any questions, see @@CONTACT_ADDRESS@@ for details. Content preview: Reto Zimmermann writes: > Remedies: > > 1 Use 1 for 'comment-padding' If I understand correctly, the default value of `comment-padding' (i.e., " ") works fine, so I think 1) sounds like the correct solution, and there doesn't seem to be anything to do in vhdl-mode here, [...] Content analysis details: (-2.9 points, 5.0 required) pts rule name description ---- ---------------------- -------------------------------------------------- -1.0 ALL_TRUSTED Passed through trusted hosts only via SMTP -1.9 BAYES_00 BODY: Bayes spam probability is 0 to 1% [score: 0.0000] X-Spam-Score: -2.3 (--) X-BeenThere: debbugs-submit@debbugs.gnu.org X-Mailman-Version: 2.1.18 Precedence: list List-Id: List-Unsubscribe: , List-Archive: List-Post: List-Help: List-Subscribe: , Errors-To: debbugs-submit-bounces@debbugs.gnu.org Sender: "Debbugs-submit" X-Spam-Score: -3.3 (---) Reto Zimmermann writes: > Remedies: > > 1 Use 1 for 'comment-padding' If I understand correctly, the default value of `comment-padding' (i.e., " ") works fine, so I think 1) sounds like the correct solution, and there doesn't seem to be anything to do in vhdl-mode here, at least. So I'm closing this bug report. -- (domestic pets only, the antidote for overdose, milk.) bloggy blog: http://lars.ingebrigtsen.no From debbugs-submit-bounces@debbugs.gnu.org Thu Dec 02 03:48:55 2021 Received: (at control) by debbugs.gnu.org; 2 Dec 2021 08:48:55 +0000 Received: from localhost ([127.0.0.1]:46505 helo=debbugs.gnu.org) by debbugs.gnu.org with esmtp (Exim 4.84_2) (envelope-from ) id 1mshlv-0003ry-F4 for submit@debbugs.gnu.org; Thu, 02 Dec 2021 03:48:55 -0500 Received: from quimby.gnus.org ([95.216.78.240]:35912) by debbugs.gnu.org with esmtp (Exim 4.84_2) (envelope-from ) id 1mshlu-0003rV-74 for control@debbugs.gnu.org; Thu, 02 Dec 2021 03:48:54 -0500 DKIM-Signature: v=1; a=rsa-sha256; q=dns/txt; c=relaxed/relaxed; d=gnus.org; s=20200322; h=Subject:From:To:Message-Id:Date:Sender:Reply-To:Cc: MIME-Version:Content-Type:Content-Transfer-Encoding:Content-ID: Content-Description:Resent-Date:Resent-From:Resent-Sender:Resent-To:Resent-Cc :Resent-Message-ID:In-Reply-To:References:List-Id:List-Help:List-Unsubscribe: List-Subscribe:List-Post:List-Owner:List-Archive; bh=oWEo6RWtNEgsebxonrWXS5VrsS3kfnpV+DvA/1K9jRQ=; b=CZyIHXL5neG5C3G0uihLPhRWDn qWRH9Hzf5+hUhaq9tTQXJldZsxpAN5CjBl91/a0vVduw/4kSZVJG6KJ6TFFdsHkh3gEZO125f0cmR UcSfcRu8phzUw3P8IFJvp/SA8/YsDYtvBbQ8Wt/rqMobYV3j+CCNDZ1MkGzJYn2phjTU=; Received: from [84.212.220.105] (helo=xo) by quimby.gnus.org with esmtpsa (TLS1.3:ECDHE_RSA_AES_256_GCM_SHA384:256) (Exim 4.92) (envelope-from ) id 1mshlm-0007Wx-M2 for control@debbugs.gnu.org; Thu, 02 Dec 2021 09:48:48 +0100 Date: Thu, 02 Dec 2021 09:48:46 +0100 Message-Id: <875ys7mlxt.fsf@gnus.org> To: control@debbugs.gnu.org From: Lars Ingebrigtsen Subject: control message for bug #22368 X-Spam-Report: Spam detection software, running on the system "quimby.gnus.org", has NOT identified this incoming email as spam. The original message has been attached to this so you can view it or label similar future email. If you have any questions, see @@CONTACT_ADDRESS@@ for details. Content preview: close 22368 quit Content analysis details: (-2.9 points, 5.0 required) pts rule name description ---- ---------------------- -------------------------------------------------- -1.0 ALL_TRUSTED Passed through trusted hosts only via SMTP -1.9 BAYES_00 BODY: Bayes spam probability is 0 to 1% [score: 0.0000] X-Spam-Score: -2.3 (--) X-Debbugs-Envelope-To: control X-BeenThere: debbugs-submit@debbugs.gnu.org X-Mailman-Version: 2.1.18 Precedence: list List-Id: List-Unsubscribe: , List-Archive: List-Post: List-Help: List-Subscribe: , Errors-To: debbugs-submit-bounces@debbugs.gnu.org Sender: "Debbugs-submit" X-Spam-Score: -3.3 (---) close 22368 quit